Vtome.ru - электронная библиотека

Математические модели и методы синтеза в сверхбольших интегральных схемах

  • Добавил: mihail1000
  • Дата: 7-02-2019, 05:56
  • Комментариев: 0
Математические модели и методы синтеза в сверхбольших интегральных схемах

Название: Математические модели и методы синтеза в сверхбольших интегральных схемах
Автор: Червяков Н. И., Галушкин А. И., Бабенко М. Г., Кучуков В. А.
Издательство: изд-во СКФУ
Год: 2016
Формат: pdf
Страниц: 187
Для сайта: vtome.ru
Размер: 5,4Mb
Язык: русский

Пособие подготовлено в соответствии с ФГОС ВО, состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов

Скачать с Turbobit










НЕ РАБОТАЕТ TURBOBIT.NET? ЕСТЬ РЕШЕНИЕ, ЖМИ СЮДА!


ПРАВООБЛАДАТЕЛЯМ


СООБЩИТЬ ОБ ОШИБКЕ ИЛИ НЕ РАБОЧЕЙ ССЫЛКЕ



Внимание
Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться либо войти на сайт под своим именем.
Информация
Посетители, находящиеся в группе Гости, не могут оставлять комментарии к данной публикации.